vhdl문법

[verilog | VHDL]

[VHDL] 순차문

VHDL의 아키텍처를 설계할때는 크게 병렬문과 순차문으로 나뉜다. 일반적으로 아키텍처는 문장부 서술은 병렬문으로 서술하지만 병렬문 내부 혹은 부프로그램(프로시저, 함수)은 순차문으로 동작을 하기 때문에 두가지 동작을 명확히 이해한 상태에서 설계를 진행해야 한다. 이번 포스팅에서는 순차문에 대해 알아보자. 순차문(Sequential Statement) • 병렬문의 부프로그램이나 프로세스문 수행을 위한 알고리즘 서술 용도로 사용 • 반드시 앞의 문장이 진행되어야 뒤에 문장이 진행할 수 있다. • 순차문 종류 : 대기문, 주장문, 신호배정문, 변수배정문, 프로시저 호출문, if문, case문, next문 등.. 1. 대기문(wait statement) - process문이나 procedure의 동작을 주어진 ..

[verilog | VHDL]

[VHDL] 기본 문법

1. 기본 구성 // AND_VHDL code // package 부분 library ieee; use ieee.std_logic_1164.all; // entity 부분 entity AND_VHDL is port(A, B : in std_logic; c : out std_logic); end AND_VHDL; // architecture 부분 architecture Behavioral of and_VHDL is begin process(A, B) begin if(A='1' and B ='1')then C 대형 설계를 쉽게 할 수 있다) - 컴포넌트의 연결관게는 port map이라는 예약어를 이용하여 이루어짐 architecture behav of half_add is component and_2 por..

시그널보내
'vhdl문법' 태그의 글 목록